半导体设备深度研究:穿越周期,长期受益于国产替代

半导体设备深度研究:穿越周期,长期受益于国产替代
2023年01月30日 21:35 元朝_Analysts

半导体设备是支撑电子产业发展的基石,是整个半导体产业链环节中市场规模最广阔,战略价值最重要的一环。当前大家津津乐道的国产替代,就是半导体设备领域的国产替代,是半导体产业链中急需要解决的“卡脖子”领域之一。

当前全球半导体产业进入下行周期,但半导体设备却能逆市而行,得益于充分享受全球晶圆厂的扩产。中国大陆半导体设备,同全球半导体设备行业一样,享受着本土晶圆厂扩产。

在海外科技对国内限制加剧和自身供应链结构合理优化的背景下,国产替代+自主可控迫在眉睫。因此,国产半导体设备产商享有晶圆厂扩产+国产化提速的双重增速。

本文将从海外对我国半导体设备限制、我国半导体设备发展现状、半导体设备的市场规模、半导体设备分类和市场规模、半导体设备产业链梳理及国产化水平、发展空间、重点公司进行深度梳理等,来把握半导体设备行业未来的投资机会。

01

海外对我国半导体设备的限制重重加码,国产替代全面提速

1.1.芯片和科技法案出台,半导体制裁全面升级

海外对华科技制裁在不断趋严,半导体产业从全球分工高度明确到走向“脱钩”。从2018年至今,海外从一开始的对电子器件征收高额关税,到打击华为、中兴、中芯,再到封锁超算芯片、EDA软件、半导体制造设备等,甚至对相关具有海外背景的我国半导体企业高管也进行了明确限制。从海外对我国半导体制裁从最开始关税到设备、先进制程再到对人的限制,可以看出海外对我国半导体的制裁已经到黔驴技穷的地步。半导体产业发展的根本在于人,可以说海外对我国半导体的制裁已经到了最坏的时刻。但短期中国半导体发展陷入困局,加速国产替代势在必行。

2022年10月7日,漂亮国出台最新的《出口管制条例》,,半导体制裁全面升级,涉及超算芯片、先进制程类半导体制造以及相关漂亮国国籍的我国半导体高管人员等。

主要涉及以下几个方面:1)对超算芯片进行限制;2)对半导体制造进行限制,包括16/14nm以下的FinFET/GAA  FET的逻辑芯片,半间距为18nm以下的DRAM,128层及以上的NAND闪存及相关领域美国人的限制;3)UVL(未经核实清单)管制措施的更新,需要解决最终用途检查问题,否则移入实体名单EAR;4)28家实体清单的更新。

1.2全球半导体产业景气度下行,我国半导体设备逆势上行、国产替代进入新阶段

台积电在22Q3财报说明会上表示,将22全年的资本支出从400-440亿美元下降至360亿美元。据集微网消息,海力士在9月底已向设备商修正2023年订单,削减设备投资计划,下修幅度预计7-8成;美光也宣布将减少2023年的资本支出30%至80亿美元。意味着全球半导体景气度下行,全球半导体处于下行周期中。

尽管海外对我国半导体产业制裁全面升级,但全球半导体产业大转移格局并未改变,即使全球半导体产业景气度下行,但中国大陆依旧逆势扩产,逐步成为全球晶圆扩产中心。根据SEMI的数据,21年底中国大陆的全球晶圆产能占比仅为16%。21-22年全球新增晶圆厂预计29座,而中国大陆新增9座,数量占比达到30%以上。根据集微网的数据,21年底中国大陆12寸晶圆产能提升空间为46.8万片/月,22年12寸晶圆产能提升空间为52.3万片/月,新增产能空间持续增加。另外,22年后中国大陆预计每年新增约5座晶圆厂,未来5年预计将新增25座晶圆厂投入设国产,涵盖逻辑、DRAM、MEMS等产线,预计26年底12寸晶圆厂总月产能将超过276.3万片。

中信证券也表示,中国大陆晶圆厂现有计划未来新增产能235万片/月(等效12英寸),总投资额超过1500亿美元,对应平均每1万片/月 产能投资额约6.5亿美元。

国内晶圆制造厂持续扩充,它们的逆势扩产,培养了自主可控的良好土壤,在一定程度上抵消了全球半导体下行周期带来的不利影响,有效带动了国内半导体设备厂商的技术突破和业绩高增,国产替代加速发展。

02

我国半导体设备的发展现状

2.1我国芯片进口占近全球的80%,国产替代空间巨大。

根据国家统计局和海关总署的数据的数据显示,我国2021年1-12月集成电路的进口数量为6354.81亿个,进口总金额达27934.8亿人民币(约合4396.94亿美元),同比增长15.40%。2021年全球半导体销售达到5559亿美元,同比增长 26.2%。中国集成电路进口额占到全球的79%。

与庞大市场和制造能力形成鲜明对比的是,我国芯片的自给率低。据GWY发布的数据显示,2019年中国芯片自给率仅为30%左右。GWY在印发的《新时期促进集成电路产业和软件产业高质量发展的若干政策》中表示,中国芯片自给率要在2025年达到70%,要实现这个目标任重而道远,也代表广阔的市场空间。

2.2.我国半导体设备公司整体规模小,部分设备国产化水平不足5%

半导体设备是晶圆制造的基石,也是海外打压的主要环节。而半导体产业链复杂而庞大的特性,使得很难有某一家公司能够在所有设备领域做到全覆盖,来自全球各个国家的企业共享整个市场。

根据2021年全球半导体设备公司营收规模来看,处于第一梯队的营收规模均在百亿规模以上,排名前top10的公司营收体量也在20亿美元以上。对比国内半导体设备龙头北方华创2021年电子装备业务(包含集成电路业务和泛半导体业务)营收约为79.5亿元人民币(12.32亿美元),排名第17名,是唯一进入全球半导体设备营收前20的中国大陆公司。表明,我国半导体装备行业的营收规模距行业头部厂商仍存在较大差距,替代空间巨大。

按照2021财年半导体业务收入排名,全球营收前五大半导体设备厂商分别为应用材料230亿美元、ASML211亿美元、东京电子171亿美元、泛林半导体165亿美元、柯磊82亿美元营收。分地区来看,排名前十的厂商中有五家日本公司,三家美国公司,以及一家荷兰公司和一家韩国公司。

目前,我国半导体设备产商基本覆盖半导体全流程设备,但部分设备国产化水平不足5%,国产替代空间巨大。国内厂商在半导体前道和后道设备领域均加速突破,进入从1到10的新阶段,逐步缩小国际差距。

在热处理设备、清洗设备、刻蚀设备、去胶设备、CMP设备等领域市占率较高,均在20%左右,其中去胶设备国产采用率达74%。例如在CMP设备领域,华海清科已经实现12英寸28nm以上逻辑制程、128层以下3D NAND、1X/1Y DRAM全覆盖,14nm及以下已经处于验证之中;在刻蚀设备领域,中微公司已经实现5nm制程的CCP设备的量产,北方华创的14nmICP设备也已进入中芯国际产线进行验证。

但在薄膜沉积设备、离子注入设备、光刻机、涂胶显影等领域市占率较低,国产化率合计不足5%,但近年来也有了较大的突破。拓荆科技的28nm以上PECVD在国内产线获得了较大的订单,实现了量产,SACVD和ALD设备也初步取得了客户订单,实现了突破。凯世通的多款离子注入机设备产品获得了客户的重复采购和批量订单。

在测试设备领域,华峰测控、长川科技、华兴源创实现了较大的突破。其中华峰测控在SoC测试领域,目前主要100M的8300实现量产,预计第二代400M以上的8300将在年内形成样机。长川科技的数字测试机D9000,集合1024 个数字通道、200MHz数字测试速率实现快速放量。

03

半导体设备的分类及市场规模

3.1.半导体设备的分类

以半导体产业应用环节划分,半导体设备可分三类:硅片制造设备、前道工艺设备(晶圆制造)和后道工艺设备(封装测试设备)两个大类。

硅片制造设备:在硅片制造流程中,首先需将多晶硅提纯后得到单晶硅棒,经过磨外圆、切片得到初始硅片,之后再进行倒角、研磨、抛光、清洗和检测等工艺,最终得到可用于生产加工的半导体硅片。其间主要设备包括单晶炉、滚圆机、切片机、倒角机、研磨机、抛光机、清洗设备和检测设备等。

前道工艺设备(即晶圆加工设备):晶圆加工步骤主要分为扩散、光刻、刻蚀、离子注入、薄膜沉积、抛光等。以晶圆加工中最重要的光刻为例,光刻又可以细分为清洗、涂胶、光刻和显影,对应的晶圆加工设备为清洗机、涂胶机、光刻机和显影机。晶圆处理精度高,一般在几纳米至几微米,对加工设备精度要求极高,其中部分工序需要循环进行多次,需要用到大量的半导体设备。

在半导体设备中的前道设备占据了整个设备市场的80%-85%,其中光刻机,刻蚀机和薄膜设备是价值量最大的三大环节,各自所占的市场规模均达到了前道设备总量的20%以上。

后道工艺设备细分为封装设备和测试设备:封装主要用于芯片后道加工,工艺流程在晶圆制造后,分为传统封装和先进封装两种;测试则涵盖半导体中游所有环节,从IC设计到IC封装,都需要经过测试。

传统封装设备包括减薄机、划片机、贴片机、引线键合机等;先进封装设备包括清洗机、溅射设备、光刻机、涂覆设备、回熔焊接设备等;测试设备主要包括测试机、探针台和分选机。

因此,全球半导体设备前十名厂商之中,有多家是平台型企业,横跨多个半导体工艺环节。

3.2.半导体设备的市场规模

近年来,随着新一代信息技术和新能源汽车的新经济快速发展,集成电路产业也明显呈快速发展的态势,半导体设备景气度持续高涨,全球半导体设备规模从2015年的365亿美元激增到2021年的1026亿美元,CAGR为18.8%。

2021年全球半导体设备市场销售规模为1026 亿美元,同比增长44%。根据SEMI2022年7月中旬发布的报告预测,半导体制造设备全球总销售额预计将在2022年再次突破记录达到1175亿美元,比2021的1026亿美元增长14.5%,并预计在2023年增至1208亿美元。

全球半导体设备作为一个具有显著的周期性特点的行业,将实现罕见的连续四年的快速增长。本轮的半导体设备周期在全球范围内延续的时长超出预期。

从2015年的49亿美元扩大到2021年296.2亿美元,同比增长58%,CAGR增长达34.95%,增速远超全球平均水平,成为全球最大的半导体设备市场,占比28.9%,较20年增长3pcts。根据中商产业研究院大跌预测,2022年中国半导体预计将继续增长,规模达到2745.15亿元(约383.3亿美元)。

04

半导体设备产业链梳理及各环节国产化水平

半导体设备主要由八大设备构成:硅片制造设备、光刻设备、刻蚀设备、薄膜沉积设备、量测设备、清洗设备、涂胶显影、离子注入设备、机械抛光设备及封装、测试设备。

4.1硅片制造设备

半导体硅片是实现半导体制造的第一步,主要设备涵盖单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP抛光、清洗设备、检测设备等。每一项设备对于硅片生长都不可或缺。

设备供应商以国外厂商为主,中国厂商由于起步晚,相对落后,但是在单晶炉、滚磨机、CMP抛光机、清洗设备等环节也实现了一定的自主可控。

单晶炉是最重要的硅片制造设备。单晶炉在全球范围内的供应商主要有德国PVA TePla AG(普发半导体)和Gero,日本Ferrotec、美国Quantum  Design和Kayex。其中德国PVA TePla AG、美国Kayex与日本Ferrotec为主要的供应商,在全球的市场份额较高。PVA  TePla AG集团在欧洲晶圆生长晶体设备市场的市占率高达九成以上。中国厂商已具备单晶炉生产能力,代表厂商为晶盛机电。2022年上半年,晶盛机电已实现8英寸晶体生长、切片、抛光和CVD等环节的设备全线覆盖,12英寸的长晶、切片、抛光和研磨等设备已实现批量销售。

8英寸和12英寸硅片制造设备或将继续成为主力。目前8英寸和12英寸大硅片通常应用于90-55nm与28-5nm制程芯片的制备。据SEMI数据,8英寸硅片占比为24%,12英寸硅片占比为69%。未来随着新增12英寸晶圆厂不断投产,12英寸硅片仍将是主流,小尺寸硅片将逐渐被淘汰(短期内8英寸硅片除外)。目前,量产硅片止步300mm(12英寸),450mm(18英寸)硅片由于设备及制造成本过高仍未商用。

4.2光刻设备:壁垒最高,ASML一家独大,国产光刻机实现0到1的突破

4.2.1光刻机的定义及发展历程

光刻机也叫曝光系统,是制造芯片的最核心装备且技术难度最高的设备,是半导体制造皇冠上的明珠。

光刻是将设计好的电路图从掩膜版转印到晶圆表面的光刻胶上,通过曝光、显影将目标图形印刻到特定材料上的技术,可以简单理解为画图过程,是晶圆制造中最重要的技术。光刻工艺包括三个核心流程:涂胶、对准和曝光以及光刻胶显影,整个过程涉及光刻机,涂胶显影机、量测设备以及清洗设备等多种核心设备,其中价值量最大且技术壁垒最高的部分就是光刻机。

光刻机发展至今,经历了4代产品的迭代。按时间顺序分别是g-line、i-line、DUV(KrF、ArF、ArFi)以及如今的EUV。其中,EUV是最先进的技术。

4.2.2光刻机的市场规模

根据SEMI的数据显示,2021年全球半导体设备市场销售规模为1026 亿美元,光刻机价值占比20%来计算,2021 年全球光刻机市场规模为205亿美元。根据 ChipInsights 数据,2021 年 ASML、Nikon 和 Canon 前道制程光刻机出货量分别为 309/29/140 台,合计 478 台。随着下游市场需求持续升高,目前光刻机在晶圆制造设备的占比不断上升,价值占比已经提升至23%,预计2022全球市场仍将持续增长,销量将达510台。根据SEMI的预测,2022年全球半导体设备市场规模为1175亿美元,据此测算出2022年全球光刻机市场规模预计为270.25亿美元。

4.2.3.光刻机复杂程度高,需多厂联合才能组成光刻机

全世界没有任何一家公司可以独立制造光刻机,其生产技术要求极高,可以分为十一个主要部件,包含超过十万个零件,涉及上下游多家供应商,具有极强的生态属性。光刻机的主要部件有工件台、激光源、光束矫正器、能量控制器、光束形状设置、遮光器、能量探测器、掩模台、物镜、封闭框架与减震器。

4.2.4光刻机市场的竞争格局

1)全球光刻机市场形成以ASML、尼康和佳能三家厂商垄断,其中又以ASML一家独大从销量来看,2021年ASML占比65%,出货量达到309台,力压尼康和佳能,其中EUV/ArFi/ArF高端光刻机占比分别100%/95.3%/88%。从销售额来看,EUV光刻机单价超过1亿欧元,最新一代0.55NA大数值孔径EUV光刻机单价甚至超过4亿欧元,全球仅有ASML可提供,使其占据市场绝对龙头地位,2021年市场份额达到85.8%。

2)我国技术起步晚,但国产光刻机已实现0到1的突破。由于起步较晚,我国的光刻技术长期落后于先进国家,但近年在国家政策的大力支持下,我国光刻机技术不断追赶。

目前国内具备光刻机生产能力的企业主要是上海微电子装备有限公司,主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD 面板、MEMS、LED、Power Devices 等制造领域。公司的光刻机产品有 SSX600 和 SSB500 两个系列,其中 SSX600 系列主要应用于 IC 前道光刻工艺,可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求;SSB500 系列光刻机主要应用于 IC 后道先进封装工艺。28nm分辨率的光刻机也有望取得突破。

此外,国产光刻机厂家中,中科院光电所研发出365nm波长的近紫外光DUV光刻机设备。

3)光刻机设备最为核心的技术——浸润系统已得到攻克,28nm光刻机将实现国产化。浸润系统是除光源、物镜、双工作台之外,光刻机设备最为核心的一项技术。国内光刻厂商启尔机电攻破了浸润系统的关键技术,实现了对液体温度的高精度控制,误差为±0.001°C,达到了国际先进水平。有了浸润系统,才能转化得到等效的134nm光波,最终实现28nm的制造。

4.3.刻蚀机设备:已初步实现国产化,进入5nm先进制程

4.3.1刻蚀的定义和刻蚀方法分类

刻蚀是半导体制造核心工艺,刻蚀机雕刻芯片精准手术刀。作为半导体制造过程中三大核心工艺之一。刻蚀可以简单理解为用化学或物理化学方法有选择地在硅片表面去除不需要的材料的过程。

刻蚀设备按照刻刻蚀方式可以分为湿法刻蚀和干法刻蚀,但是湿法刻蚀由于刻蚀的精度较低,在制程不断微缩的情境下,逐渐被干法刻蚀取代,在部分制程要求不太精密的芯片上在使用湿法刻蚀。

按照蚀对象划分可以分为介质刻蚀和导体刻蚀(导体刻蚀又可以分为金属刻蚀和硅刻蚀)。这两类刻蚀对象分别对应了CCP和ICP刻蚀设备。CCP和ICP的市场规模近年来此消彼长。

ICP 与CCP 是应用最广泛的刻蚀设备。等离子体刻蚀机根据等离子体产生和控制技术的不同而大致分为两大类,即电容性等离子体(CCP)刻蚀机和电感性等离子体(ICP)刻蚀机。其中CCP技术能量较高、但可调节性差,适合刻蚀较硬的介质材料;ICP能量低但可控性强,适合刻蚀单晶硅、多晶硅等硬度不高或较薄的材料。

4.3.2刻蚀机的市场规模

根据Gartner的数据显示,2020年全球刻蚀设备市场规模约为137亿美元,其中介质刻蚀设备市场规模约60亿美元,导体刻蚀设备市场规模约76亿美元。预计,2022年将进一步增长至184亿美元。随后受需求影响,会出现小幅的回落。

根据公开市场数据,2020年我国蚀刻设备市场规模为250.29亿元,2021年我国蚀刻设备市场规模增长至375.28亿元,预计2022年蚀刻设备市场规模有望达到500亿元。

4.3.3刻蚀机的竞争格局

海外厂商占据8成份额,国内产商国产化率达20%。从全球范围来看,刻蚀设备主要由美国泛林半导体、日本东京电子以及美国应用材料三家占据领先地位,分别占47%、27%和17%,三家市场份额合计占全球市场占有率高达91%。

目前,国内能生产刻蚀机的国产公司有中微公司和北方华创两家。从营收端来看,2020年和2021年中微公司和北方华创刻蚀设备营收占国内总刻蚀市场规模的9.19%和10.48%左右,随着公司的订单逐步释放,国产化率有望明显提升,当前国产化水平已经提升之20%,占全球市场市场份额的2%左右。

根据中国招标网的数据显示,2022年1-7月国产刻蚀机招标54台,国产水平达59%。

国内产商技术持续突破,先进制程刻蚀机打入国际市场。国内主要刻蚀机厂商有中微公司、北方华创以及屹唐股份。中微公司刻蚀设备包含CCP与ICP,公司正在开发新型CCP刻蚀设备,涵盖5nm以下逻辑芯片及200层以上3D  NAND存储芯片刻蚀需求的更多不同刻蚀应用。正在开发的ICP设备,涵盖7nm及以下的逻辑芯片、17nm及以下的DRAM芯片和3D  NAND存储芯片的刻蚀应用,同时优化开发双台ICP刻蚀设备。其中,介质刻蚀已经进入台积电5nm产线。

北方华创刻蚀机主要为ICP,覆盖8 英寸、12 英寸55-28nm 制程,已进入中芯国际14nm产线验证阶段;屹唐股份干法刻蚀设备可用于65nm~5nm 逻辑芯片

近年来,国内刻蚀设备企业在技术储备以及客户认证方面取得了良好的进展,使得本土晶圆产线上相对成熟的国产刻蚀设备价值量占比上升,例如:中微的等离子刻蚀机已经打通了国际市场,其可以用于14纳米、7纳米和5纳米等多条生产线,远销欧洲、新加坡、韩国等地;北方华创部分设备如硅刻蚀机也已经在国产12英寸设备已经在生产线上实现批量应用。

4.4.薄膜沉积设备:集成电路的奠基者

4.4.1薄膜沉积技术支撑集成电路发展,多种类型满足不同需求

前道设备中市场空间最大的细分赛道薄膜沉积设备,目前是半导体前道设备中市场空间最大的细分赛道,而且随着芯片的结构越来越复杂,3D FLASH堆叠层数的增加,价值量占比也正在同步提升。

薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,包括 CVD(化学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和 ALD(原子层沉积)设备。

CVD(化学气相沉积)是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。其中,CVD占据了接近一半的市场份额,CVD中又可以细分为APCVD,LPCVD, PECVD,ALD,SACVD,MOCVD等。常压(AP)CVD和低压(LP)CVD的制程对应在微米级别。等离子体CVD(PECVD)和原子层沉积ALD是应用比较广泛的沉积设备,多用于90nm以下各种逻辑芯片,存储芯片的生产。

PVD是将原子从原料靶材上溅射出来,利用物理过程实现物质转移,沉积形成导电电路,主要应用于金属涂层的制备。

ALD(原子层沉积),又是属于 CVD 的一种,是先进制程部分工序节点所需的薄膜沉积设备。可以将物质以单原子膜形式一层一层地镀在基底表面的方法,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长,在28nm以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。

下游消费应用多样化促进各种薄膜沉积设备需求近年来,随着下游产业新技术、新产品快速发展,半导体产业正迎来市场快速增长期。5G 手机、新能源汽车、工业电子等包含的半导体产品数量较传统产品大比例提高;人工智能、可穿戴设备和物联网等新业态的出现,对于半导体产品产生了新需求。经过不断发展,根据不同的应用演化出了PECVD、LPCVD、溅射 PVD、ALD 等不同的设备用于晶圆制造的不同工艺。其中,PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的 33%;ALD设备目前占据薄膜沉积设备市场的 11%;SACVD 是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。

4.4.2薄膜沉积设备的市场规模

薄膜沉积设备增速快,规模达。2021年全球半导体薄膜沉积设备市场规模达190 亿美元,预计2022年将达到212 亿美元。根据Maximize Market Research 数据统计,2017-2020 年全球半导体薄膜沉积设备市场规模分别为 125 亿美元、145 亿美元、155 亿美元和 172 亿美元,2021 年扩大至约 190亿美元,年复合增长率为 11.04%。

预计全球半导体薄膜沉积设备市场规模在 2025年将从 2021 年的 190 亿美元扩大至 340 亿美元,保持年复合 15.7%的增长速度。

国内薄膜沉积设备市场空间:2021年约为62亿美元。根据SEMI数据,2021 年中国大陆半导体设备市场规模为296.2亿美元,根据薄膜沉积设备约21%的市场份额,我们推算2021年中国大陆半导体薄膜沉积设备市场规模约为62亿 美元。

4.4.3薄膜沉积设备的竞争者格局

行业壁垒高,三大巨头高度垄断。从全球市场份额来看,薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、东京电子(TEL)等国际巨头垄断。2019年,ALD设备龙头东京电子和先晶半导体分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;而应用材料则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位;在CVD市场中,应用材料全球占比约为30%,连同泛林半导体的21%和TEL的19%,三大厂商占据了全球70%的市场份额。

从国内视角:海外企业同样寡头垄断,2021 年半导体薄膜设备整体国产化率不足 10%。CVD 方面, 国内市场绝大部分份额仍然被美国及日韩厂商占据,2021 年主要企业为 AMAT、LAM、 WONIK IPS、TEL 等龙头企业,国内沈阳拓荆以3.1%的市占率位居第七;PVD 方面, AMAT 同样一家独大,占据近 60%的市场份额,国内主要企业为北方华创。而根据国内半导体薄膜设备企业主要为北方华创、中微公司和沈阳拓荆,根据三家企业薄膜设备大致销售额推算2021年半导体薄膜沉积设备的国产化率在 5%-8%之间。

4.5量测设备

4.5.1量测设备重要性日益凸显,技术复杂行业壁垒高

集成电路生产工艺演进,量测设备重要性凸显。量测设备不直接参与对晶圆的光刻、刻蚀等工艺处理,主要是在生产中监测、识别、定位、分析工艺缺陷,对晶圆厂及时发现问题、改善工艺、提高良率,起到至关重要的作用。随着集成电路工艺升级推动芯片生产的总步骤数不断上升。如今芯片生产涉及超1000道工序,即使每道工序良率达到99.9%,芯片的最终良品率也只有36.8%,这对单个工序的良率提出了更高的要求。因此,随着集成电路继续多层化、复杂化,量测设备的重要性日趋凸显。

量测设备分为尺寸测量和缺陷检测两大类。测量设备对单步工艺(或若干次相似工艺)处理的晶圆进行测量,确保关键工艺参数(厚度、线宽、成分等)符合集成电路的工艺指标。测量设备主要包括膜厚测量、关键尺寸测量、套刻测量等。缺陷检测设备对晶圆表面的电路结构进行扫描,发现并定位异常的电路图形,主要包括有图形检测、无图形检测、电子束检测三大类。

量测设备技术复杂,行业壁垒高量测设备需要光学、电子学、移动平台、传感器、数据计算软件等多个系统密切配合,每个设备厂商针对上述系统都有独特设计和大量的独家knowhow,行业壁垒较高。此外,制程升级也带来了新的难点。等同样大小的缺陷在成熟制程中是非致命的,在先进制程中却极有可能是导致电路失效的致命性缺陷。因此量测设备需要更高的灵敏度,更快速、更精确的测量能力。超薄膜(厚度小于10埃)、极高深宽比、非破坏性的图形等结构的测量,也提出了新的要求。量测设备的主要技术难点包括分辨率、软件算法、产能等。

4.5.2全球量测设备的市场规模和竞争格局

在半导体前道工序中,量测设备是第四大晶圆制造设备。量测设备的市场规模小于刻蚀、薄膜沉积设备、光刻机,但大于清洗设备、CMP、离子注入、Track、电镀等环节。

随着集成电路制程的进步,量测设备的市场规模逐年上升,2021年全球市场规模达到104.1亿美元,仅次于刻蚀、光刻、CVD,相比于2020年的76.5亿美元增长36.5%,占半导体设备市场约11%。

由于量测设备种类较多,量测设备市场存在多个细分类。有图形缺陷检测设备市场规模最大,占量测设备整体销售额的34%;关键尺寸扫描显微镜占12%;膜厚测量设备占12%;电子束检测设备占12%;套刻误差设备占9%;宏观缺陷检测设备占6%;无图形晶圆检测设备占5%。

量测设备市场呈现出高度垄断格局,科磊一家独大。量测设备行业前5名分别为科磊、应用材料、日立、 Nanometrics、Nova,市场占比分别为 52%,12%,11%,4%,3%,行业TOP3占据75%的市场份额。美国的科磊公司牢牢占据行业的龙头地位,市场占有率超过行业第二的四倍。

科磊公司的业务可分为服务和量测设备两大类,其中 2022 财年服务收入 19.10 亿 美元,占比 20.74%; 量测设备收入 73.01 亿美元,占比 79.26%。公司 2022 年的研 发支出达 11.05 亿美元。

量测设备国产率低,自主可控迫在眉睫,国产替代空间大。

受益于国内晶圆厂的大幅扩产,中国大陆量测设备市场规模不断攀升,2020 年市场规模已达到21亿美元,折合人民币约150亿元,占全球量测设备市场总额的 27.4%。据精测电子预估,2022年中国半导体量测设备市场已进一步上升到31.1亿美元,未来5年预计复合增长率为 14%。

量测设备涉及多种光学、电子学尖端技术,国内企业在相关领域起步晚,技术积累薄弱,相比于科磊等海外企业有着很大的差距。国内包含成熟制程在内的所有半导体生产线中,国产前道量测设备的整体占比只有2%。2022年1-6月,国内晶圆厂公开招标量测设备中(几乎全部为成熟或特色工艺制程),国产化比例只有12%。考虑到大量12寸设备,晶圆厂未进行公开招标,实际国产化率更低。

根据海关的数据显示,2022年1-9月中国大陆光学类半导体量测设备的进口额已达25.21亿美元,已接近2021年全年26.70亿美元的水平。同期,中国大陆电子显微镜与衍射仪进口额9.89亿美元,已超过去年全年(有部分电子显微镜与衍射仪用于半导体生产)。国内量测设备市场规模大,进口替代空间充裕,国产设备企业成长空间广阔。

4.6.清洗设备:国产化率超30%,行业进入快速发展中

4.6.1清洗设备在半导体设备的比重占到6%,是前道工艺中第五大设备。

相较光刻、刻蚀等核心设备价值量较低,但随着晶圆制程工艺的复杂化,未来清洗机的使用次数会逐步提升,清洗机的占比整线的比例未来有望提高至10%。

半导体清洗是指针对不同的工艺需求对晶圆表面进行无损伤清洗以去除半导体制造过程中的颗粒、自然氧化层、金属污染、有机物、牺牲层、抛光残留物等杂质,避免杂质影响芯片良率和芯片产品性能的工序。随着芯片技术节点不断提升,晶圆制造过程中对晶圆表面污染物的控制要求越来越高,在整个半导体生产过程中,清洗几乎贯穿每一个环节,约占整体步骤的30%以上。

单片清洗取代批量清洗,成为先进制程主。根据清洗设备的种类,清洗设备可以分为单片清洗设备、槽式清洗设备、组合式清洗设备、批式旋转喷淋清洗设备。清洗方案大体上可以分为干法和湿法两类,目前湿法清洗为主流方案,占比90%以上。湿法清洗按照一次清洗的对象数量分为批量清洗和单片清洗。批量清洗存在交叉污染、清洗均匀可控性和后续工艺相容性等问题,在45nm工艺时无法达到足够的良率,会带来高成本的芯片返工支出。因此,单片清洗设备逐步取代槽式清洗机。

4.6.2清洗设备的市场规模

2022年半导体清洗设备约为半导体设备总规模的6%。根据Gartner 统计数据,2018年全球半导体清洗设备市场规模为34.17亿美元,2019和2020年受全球半导体行业景气度下行的影响有所下降,分别为31.7和33.4亿美元。随着全球半导体行业复苏,全球半导体清洗设备市场将呈逐年增长的趋势,2021 年起半导体清洗设备市场增长迅速市场规模达到39.2 亿美元,预计2022 年将达到43.2亿美元。随后受需求的影响会再度回落,2025年预计全球半导体清洗设备行业将达到40.7亿美元。

随着整个半导体投资和国产替代的加速,半导体设备市场高速增长的驱动下,半导体清洗设备行业市场规模高速增长,2020年大幅增长至67.92亿元,2021年达到了106.09亿元,预计2022年我国半导体清洗设备行业市场规模有望达到150亿元。

4.6.3清洗设备的市场竞争格局

全球半导体清洗设备市场高度集中,日本迪恩士一家占近半壁江山。Screen(迪恩士)、TEL(东京电子)、LAM(泛林半导体) 与SEMES(三星半导体) 四家公司合计市场占有率达到90%以上。其中,Screen(迪恩士)占据了全球半导体清洗设备45.1%的市场份额。

国产清洗设备国产化水平超30%,国产替代空间有望进一步上升。目前我国半导体清洗领域的重要厂商包括盛美上海、至纯科技、北方华创、芯源微等,清洗设备国产化率约为31%,突破速度最快,国产化率超过了其他大部分设备。相比于其他半导体设备,清洗设备的技术门槛较低,未来5年有望率先实现全面国产化。

值得一提的是,盛美上海在全球清洗设备中占2.3%的市场份额,在全球单片清洗设备中市场份额达到4%,其研发的单片清洗设备最高可单台配置18 腔体,达到国际先进水平,目前正在拟研发的产品包括干法设备拓展领域产品和超临界CO2清洗干燥设备;芯源微的前道Spin Scrubber 清洗机设备目前已达到国际先进水平,成功实现进口替代。

4.7.CMP(化学机械抛光设备)

4.7.1.CMP的定义

CMP技术即化学机械研磨抛光技术。是指通过化学腐蚀与机械研磨的共同作用,实现晶圆表面的全局纳米级平坦化的一项技术。CMP设备一般主要由抛光设备、抛光液、抛光垫、废物处理系统等部分组成,在半导体设备中是研制难度较大的设备之一。

主要工作过程是:抛光头将晶圆贴合在抛光垫之上,抛光垫和晶圆之间流有一定量的研磨液,通过抛光液腐蚀、微粒摩擦、抛光垫摩擦等实现晶圆表面的全局平坦化,保证硅片表面的均匀性,同时通过检测系统防止过抛。

4.7.2.CMP设备的市场规模

根据SEMI统计,2018年全球 CMP设备的市场规模约为25.82亿美元,2013年-2018 年全球CMP设备年均复合增长率达到 20.11%。2019 年受全球半导体景气度下滑影响,全球CMP设备的市场规模出现短暂下滑,2021 年全球CMP 设备市场约为27.83亿美元,预计2022年全球市场规模为30.76亿美元。

2020年全球CMP设备市场中,中国大陆市场规模已跃升至全球第一,约为 4.29 亿美元,市场份额 27%。2021年市场规模增长至4.9亿美元,同比增加16.7%。未来随着集成电路市场需求不断增加,CMP设备作为其重要组成部分,市场规模将不断扩大,预计2022年国内CMP设备市场规模将增长至5.3亿美元。

4.7.3CMP设备的竞争格局

目前全球CMP设备市场处于高度集中状态,主要由美国应用材料和日本荏原两家设备制造商占据,2020年两家合计市占率超过93%。

我国CMP设备国产化水平较低,但在政府政策的支持和国内厂商的多年积累下,国内CMP设备技术有明显进展。国内CMP设备厂商主要有华海清科和北京烁科精微电子,华海清科是国内唯一一家实现12 英寸CMP设备量产的厂商,打破了国际厂商的垄断。其12 英寸系列CMP设备在国内已投产的12 英寸大生产线上实现了批量产业化应用,据其营收统计,2021年国内市场占有率已经达到25.8%。烁科精微电子研发制造的8 英寸CMP设备已搬入中芯国际产线。目前CMP设备国产化率在18%左右

4.8.离子注入机

4.8.1离子注入机基本概况

离子注入是最重要的掺杂方法离子注入指对半导体表面区域进行掺杂的技术,其目的是改变半导体导电类型和载流子浓度。离子注入机为离子注入技术所使用的必备机器,属于高压小型加速器细分产品。

根据离子束电流和束流能量范围可将离子注入机分为三大类。三类离子注入机分别是中低束流离子注入机、低能大束流离子注入机、高能离子注入机。另外还有用于注入氧的氧注入机,或者注入氢的氢离子注入机。离子注入机包含5个子系统:气体系统、电机系统、真空系统、控制系统和射线系统。其中,射线系统为最重要的子系统。

4.8.2离子注入机的市场规模

据Gartner数据统计,离子注入机在晶圆制造工艺设备的市场规模中占比3%左右,与CMP设备、热处理(退火、氧化、扩散)、涂胶显影机等的市场规模基本相当,低于光刻机、刻蚀机、CVD、PVD、量测、清洗设备的市场空间。尽管离子注入机在晶圆制造的工艺设备中占比不大,但离子注入技术研发难度大,目前国内是卡脖子技术。

根据Gartner统计数据,2015年全球集成电路离子注入机市场规模约10亿美元,2018年市场规模约15亿美元,年均增速4.6%。2020年离子注入机的市场规模达到18亿美元。从半导体前道设备规模来看,离子注入机约占3%,对应2021年全球市场规模约22亿美元。长期估计到2030年离子注入机市场规模将达到42亿美元。

按照离子注入机划分为:大束流离子注入机、中低束流离子注入机、高能离子注入机。

据Gartner统计:大束流离子注入机占到60%的比例,由此推算2021年大束流离子注入机的市场规模约15亿美元;中低束流离子注入机占比20%,由此推算2021年中低束流离子注入机的市场规模约5亿美元;高能离子注入机占18%,由此推算2021年高能离子注入机的市场规模约4.5亿美元。

2021年我国离子注入机市场规模达51.2亿元,同比增长16.5%。

4.8.3市场竞争格局

全球离子注入机高度垄断。目前市场上离子注入机主要由美国和日本的厂商垄断,主要厂商有国外的AMAT、Axcelis、Nissin。其中AMAT占据70%的市场份额,Axcelis(亚舍立科技设计公司)占据约20%的市场份额。

除此以外,日本Nissin主要生产中束流离子注入机,在中束流离子注入机的市占率约为10%;日本SEN公司的产品包括高束流离子注入机、中束流离子注入机、高能量离子注入机,但在中国大陆地区的市占率相对较低。

在国内市场,凯世通、中科信引领国产替代。2021 年,凯世通自主研发的首台低能大束流离子注入机率先在国内12 英寸主流集成电路芯片制造厂完成设备验证工作。高能离子注入机顺利在某12 英寸集成电路芯片制造厂完成交付,低能大束流重金属离子注入机、低能大束流超低温离子注入机都顺利通过厂商验证,2022年上半年取得在手订单超过11亿元,并逐步向客户批量交付低能离子注入机,迈入1到N的放量阶段;中科信产品包括中束流、大束流、高能、特种应用及第三代半导体等离子注入机,12英寸45-22nm低能大束流离子注入机研发及产业化项目的实施则进入一个全新的自主创新阶段。

4.9涂胶显影设备

4.9.1涂胶显影设备概况

涂胶显影设备包括涂胶机、喷胶机、显影机,是光刻工序中与光刻机配套使用的设备,是集成电路制造的核心设备。涂胶显影设备可以应用于集成电路制造前道晶圆加工领域,以及后道先进封装领域,其中,应用于集成电路制造前道晶圆加工环节的前道涂胶显影设备更多,市场份额占比更大。

涂胶显影设备主要由涂胶、显影、烘烤三大系统组成,通过圆片传递机械手,使圆片在各系统之间传输和处理,完成圆片的光刻胶涂覆、固化、光刻、显影、坚膜的工艺过程。

早期或较低端集成电路工艺中,主要使用独立机台(Off-line),随着集成电路工艺的提升,目前200mm及以上的生产线大多采用与光刻机联机的设备(In-line),与光刻机配合工作。

4.9.2涂胶显影的市场规模:涂胶显影行业空间广阔,需求增长持续

近年来随着下游需求的持续旺盛和光刻用量的不断增长,全球前道涂胶显影设备销售额整体 呈现增长态势。根据 VLSl 数据,全球前道涂胶显影设备销售额由2013年的14.07亿美元增长至2018年的23.26亿美元,年均复合增长率达10.58%,预计2023年将达到24.76亿 美元。

中国半导体市场规模需求增大,带动涂胶显影设备市场规模增长。从中国涂胶显影设备市场规模来看,据统计,中国大区(含中国台湾地区)2018年前道涂胶显影设备规模8.96亿美元,预计2023年将达到10.26亿美元。

4.9.3市场竞争格局

全球涂胶显影设备日本东京电子一家独大。目前国际上主流的涂胶显影设备生产商主要集中在日本、德国和韩国,分别是东京电子、迪恩士、苏斯微、细美事等,其中东京电子的全球市占率达88%,迪恩士、细美事和苏斯微合计占比10%,其他厂商占比2%。在中国的涂胶显影设备市场中,东京电子和迪恩士分别占据91%和5%的市场份额,芯源微的涂胶显影设备在中国的市占率达4%。芯源微的前道涂胶显影机offline、i-line和KrF机台均已实现批量销售,并已开始部分量产28nm技术节点的涂胶显影设备。

4.10去胶设备

去胶设备主要用于曝光后将光刻胶从晶圆上移除,以此来保证晶圆顺利进入下一步制造步骤。去胶工艺可分为湿法去胶和干法去胶,湿法去胶主要采用溶剂对光刻胶进行溶解;干法去胶主要采用离子轰击的方法去除表面光刻胶,为当前主流技术。

去胶设备的市场规模。据Gartner数据,2020年全球干法去胶设备市场规模为5.38亿美元,2021年约为6.61亿美元,预计到2025年将增长至6.99亿美元,复合增长率为5.40%。

去胶设备国产化水平超30%。目前国际上主流的去胶设备生产商主要集中在中国、韩国、日本和美国。

据Gartner数据,2020年屹唐股份去胶设备市占率为31.3%,为全球第一;北方华创的市占率为1.7%,为全球第七;其余厂商以国外企业为主,包括比思科、日立高新、泛林半导体、泰仕半导体等。2018至2020年,屹唐股份在干法去胶设备领域分别位于全球第三、全球第二和全球第一的市场地位,市场占有率逐年提升,不断巩固在全球的领先地位。根据屹唐股份招股书公告,公司当前已量产的干法去胶设备已可用于90-5nm逻辑芯片、1Y到2Xnm(约14-29nm)系列DRAM芯片以及32-128层3D NAND芯片的生产。

4.10.热处理设备

热处理设备是半导体晶圆前道制造工艺的重要设备之一。热处理工艺包括氧化/扩散退火。若按设备形态划分,热处理设备可分为卧式炉、立式炉和快速热处理炉三类。

氧化是通过在氧化剂环境中高温热处理硅片,使硅片形成氧化膜,氧化膜可作为离子注入的阻挡层、绝缘栅材料以及器件保护层、隔离层、器件结构的介质层等;扩散是将掺杂气体导入放有硅片的高温炉,将杂质扩散到硅片内,从而提升硅片导电性能;退火是指在不活泼气体中加热离子注入后的硅片,修复离子注入带来的晶园缺陷。

在全球晶圆制造快速增长背景下,半导体热处理设备市场规模保持平稳增长。根据Gartner统计数据,2020年全球热处理设备市场规模合计15.37亿美元,其中快速热处理设备市场规模为7.19亿美元,氧化/扩散炉市场规模为5.52亿美元,栅极堆叠设备市场规模为2.66亿美元;2021年全球热处理设备规模合计18.95亿美元,其中快速热处理设备市场规模约为8.87亿美元,氧化/扩散炉市场规模约为6.83亿美元,栅极堆叠设备市场规模约为3.25亿美元。

随着下游对于半导体产品性能需求的不断提升,将对上游热处理设备市场产生拉动效应,在此趋势下,热处理设备预计将获得更大的发展空间。Gartner预计,2025年热处理设备市场规模有望达到19.91 亿美元,其中全球快速热处理设备、氧化/扩散炉和栅极堆叠设备的市场规模将分别增至9.37/7.10/3.44亿美元。

全球热处理设备市场呈寡头垄断格局。应用材料、东京电子、日立国际电气三大国际巨头厂商垄断了超80%市场份额。国内厂商中,屹唐半导体市占率5%,北方华创市占率0.2%。

集成电路行业的快速发展对热处理技术提出的要求越来越高,于是快速热退火技术(RTP)在半导体制造中的竞争优势愈发明显:普通炉管退火设备加热时长需几小时,而快速热退火设备只需几秒甚至几毫秒,可有效降低总体热预算。

在全球RTP设备市场中,美国应用材料市场份额仍排名第一,占比近70%,我国屹唐半导体排名第二,市占率11.5%,其他厂商还包括日立国际电气、维易科、迪恩士。

4.12.后道封装测试设备

4.12.1后道封装测试设备的概况

后道封装测试设备分为封装设备和测试设备。后道封装过程主要包括背面减薄、晶圆切割、贴片、引线键合、模塑和切筋/成型,需用到减薄机、切割机、贴片机、烤箱、引线键合机、注塑机以及切筋/成型设备等。封装结束后做最后的成品测试,主要用到测试机、探针台、分选机等。

4.12.2封装和测试设备的市场规模

据SEMI数据,2021年全球半导体封装和测试设备的规模分别为71.7亿美元和78.3亿美元,预计2024年全球半导体封装和测试设备的规模将分别达到65.7亿美元和81.9亿美元。

全球半导体封装设备市场主要以贴片机、划片机/检测设备、引线焊接设备和塑封/切筋成型设备为主;2018年,贴片机占比30%,划片机/检测设备占比28%,引线焊接设备占比23%,塑封/切筋成型设备占比18%,电镀设备占比1%。全球测试设备市场主要以测试机、分选机和探针台为主;2021年,测试机占比63.1%,分选机占比17.4%,探针台占比15.2%,其他设备占比4.3%。

4.12.3封装和测试设备的竞争格局:主要集中在海外产商,并且高度垄断

封装设备的生产商主要集中在国外,中国只有少量企业覆盖。贴片机厂商有荷兰Besi、新加坡ASM PACIFIC、美国K&S等,中国厂商有艾科瑞思、大连佳峰等;划片机/检测设备和引线焊接设备厂商有ASM Pacific、K&S等,中国厂商有中电科45所等;塑封/切筋成型设备厂商有Town、YAMADA、Besi、ASM  Pacific等,中国厂商有富士三佳等。

目前全球先进测试设备制造技术基本掌握在美国、日本等厂商手中。全球后道测试机厂商中,爱德万和泰瑞达占据全球垄断地位,合计占比超过90%。中国测试机厂商有长川科技、华峰测控、联动科技等,其中华峰测控和长川科技分别占中国测试机市场份额的6.1%和2.4%。全球探针台厂商中,东京精密和东京电子为全球龙头企业,占比分别为46%和27%,中国探针台厂商主要有中国台湾旺矽、惠特、深圳矽电、长川科技、中电科45所等。全球分选机厂商中,爱德万、科休和Xcerra合计市占率约59%,中国企业主要有长川科技,其全球市占率达2%。

随着Chiplet工艺被寄予厚望,先进封装加速封装设备的需求与迭代。芯片制造逐渐步入后摩尔时代,Chiplet工艺被市场认为是缓解先进制程产能压力和降低芯片成本的有效方法。同时,在当前中国芯片制程受限的情况下,Chiplet工艺或将成为破局关键。Chiplet是继SoC之后的又一先进集成方法,采用先进封装技术将不同制程的裸芯片互联从而集成为系统性芯片组。因此,在Chiplet工艺发展的催化下,全球封装设备有望需求加大和技术升级。

05

半导体设备的发展空间

半导体产业的核心在于制造,制造的核心在于工艺,工艺的核心在于设备和材料。

因此,半导体设备与半导体行业密切相关,且市场规模波动幅度更大。长期来看,半导体行业将会保持旺盛生命力,作为产业链上游的半导体设备行业市场规模也会不断扩大。据SEMI统计数据显示,2021年全球半导体设备市场规模达1026.4亿美元,较2020年同比增长44.16%。受芯片高库存的影响,2023年全球半导体设备市场规模为912亿美元,同比下降16%。同时表示,随着库存修正结束,看好2024年半导体设备市场将出现明显回温,市场规模将达1,071.6亿美元、年增18%。

随着海外对我国从工艺到设备的限制,在国产替代加速下,中国大陆地区的半导体设备市场保持高速增长,中国半导体设备市场规模2005年到2007年的17年间市场规模复合增速20%,对比全球复合增速只有6.9%。与此同时,中国市场的占比从2005年的4%提升到2021年的28.8%,17年间高速发展。近几年,中国半导体设备市场规模扩大继续提速,近五年行业规模复合增速高达35%。随着下游晶圆厂订单和验证效率的提升,预计2022-2025将是半导体国产设备的放量期,高增速有望延续。

06

半导体设备重点上市公司

参考研报:

华安证券:《半导体深度报告:半导体设备需求强劲,国产设备加速推进》

财通证券:《量测设备行业报告:细致检测攻坚克难,精准度量引领进步》

国泰君安:《半导体自主可控行业报告:半导体自主可控加速,整线突破大势所趋》

方正证券:《国产半导体设备研究框架:光刻机、薄膜沉积、刻蚀机、清洗、氧化、离子注入、量测,半导体》

五矿证券:《2023年电子行业投资策略:半导体国产替代持续加速,汽车电子迎来新机遇》

财经自媒体联盟更多自媒体作者

新浪首页 语音播报 相关新闻 返回顶部