国产车规芯片,该提速了!

国产车规芯片,该提速了!
2023年04月21日 09:29 半导体行业观察

如今,中国的新能源汽车发展势力不容小觑,国产汽车的迭代速度要比欧洲更快已成为行业共识。在这样的背景下,也给汽车芯片供应商带来了更大的压力。由于车规级芯片的复杂性和设计周期长等因素,国内芯片设计领域一直面临着巨大的挑战。EDA软件和工具是芯片设计过程中不可或缺的一部分,在加速车规芯片设计和研发的过程中,已经越来越成为中流砥柱。

汽车市场面临大变革,

国产车规芯片如何做?

当下,整个汽车市场面临着重大变革,大体可归为这五类:

第一,汽车芯片供应链的断供导致越来越多的整车厂、Tier1和其他一些新势力都开始自研SoC;

第二,汽车电子电气架构从分立式到域控制器,再到将来的中央计算平台转变;

第三,软件定义汽车,汽车上会布置越来越复杂的软件;

第四,汽车的网联化和共享化对信息安全加密保护提出了更高要求;

第五,也是中国的特色,相比欧洲整车厂,中国汽车产品的迭代更加迅速。

与此同时,汽车芯片供应链的每一个角色也在发生着变化:传统的汽车OEM为了打造技术的差异性和降低成本,不断向其供应链渗透,逐渐参与到汽车电子电气架构、座舱和ECU的设计,甚至是芯片的设计之中。Tier1厂商如博世等,为了提高对供应链的影响力,也从过去依赖供应商为主转为向SoC设计和量产上铺垫。造车新势力由于没有历史包袱,无论是软件、算法甚至是芯片都在自研,比如特斯拉和理想。此外,一些原先布局消费类市场为主的企业开始涉足汽车领域,如苹果和富士康等。汽车芯片的蓬勃发展也为如英伟达这样的芯片供应商带来了广阔的发展空间。

2022年全球半导体的容量增长只有3%,但是车载半导体的增量达到了30%,整个汽车芯片市场还是面临巨大的缺口。究其原因,一方面车载芯片在质量、可靠性、功能安全方面有着极高的要求,另一方面传统厂商以提供通用芯片为主,无法精准满足车厂的需求,需要大量ASIC芯片。

对于本土汽车芯片玩家而言,做一颗车载芯片已经不能仅限于参照国外公司的Datasheet做Pin-to-Pin的替换了,而是需要从市场定义和架构设计开始做起,要从系统的角度去理解思考芯片应该如何设计。

车规芯片设计实现如何加速?

随着车载芯片复杂度的提升,对EDA工具的要求越来越高。加上车载芯片向着先进工艺和大算力发展,IP的重要性也在不断提升。新思科技作为一家电子设计、自动化、EDA工具和半导体IP领域的头部厂商,他们是如何助力国产芯片快速上车的呢?

首先,基于过往与车载芯片主流供应商的合作经验,新思科技构为中国汽车芯片厂商构建了一个通用型平台,从电子电气架构的定义阶段就开始介入,再辅以他们的EDA和IP产品,帮助客户实现高性能、高可靠的大算力多核异构芯片,降低客户的入门门槛。

其次,加快软件定义车辆的验证。新思科技的虚拟原型开发技术,能将一些车载ECU的设计和测试利用虚拟工具,从物理测试转向虚拟测试,它能大大缩短开发时间,同时减少可靠和安全软件的开发和验证工作。在软硬件协同开发的过程中,新思科技拥有着全球最多的芯片模型库,可以帮助软件开发人员提高效率。

第三是,提高车辆可靠性。新思科技有一套单独独立的软件代码检测静态分析,能满足芯片和软件的安全性、可靠性和质量要求。例如有符合ISO26262车规认证和AEC-Q100的硅生命周期管理工具、安全IP等等。

新思科技加速汽车创新的解决方案

在汽车IP方面,新思科技在ADAS、座舱和网关等典型的域控制器架构中,都有丰富的IP产品,能帮助客户从需求定义到芯片架构开发子系统。

图中紫色部分是新思科技提供的IP产品

对于典型的Zonal Controller和Zonal Gateway的架构,新思科技IP和Multi Die System解决方案可覆盖各个方面(见下图紫色)。

新思科技在Zonal架构领域的解决方案

写在最后

自1995年进入中国市场以来,新思科技就在本地配置了一流的研发和技术团队,帮助客户实现全流程的芯片开发。现在,新思科技正利用这些强大的团队,以及与全球车载芯片供应商过往的技术积累,来帮助中国车载半导体供应商尽快加入汽车竞赛当中,为国产车规芯片的加速上车保驾护航。

财经自媒体联盟更多自媒体作者

新浪首页 语音播报 相关新闻 返回顶部