反超台积电!英特尔宣布2024年量产2nm,代工业务获高通、亚马逊力挺!

反超台积电!英特尔宣布2024年量产2nm,代工业务获高通、亚马逊力挺!
2021年07月27日 17:59 芯智讯

2021年7月27日,英特尔CEO帕特·基辛格在“英特尔加速创新:制程工艺和封装技术线上发布会”上发表演讲。在这次线上发布会中,英特尔首次公布了未来数年的制程工艺和封装技术路线图,并对英特尔的工艺节点进行了重新命名。同时发布了全新的晶体管架构RibbonFET 和背面电能传输网络PowerVia,以及全新的Foveros Omni和Foveros Direct封装技术。

此外,在晶圆代工业务方面,英特尔也获得了突破。在今天的线上会议上,英特尔宣布已经与高通达成了20A工艺节点上的合作,同时在先进封装上也与亚马逊AWS达成了合作。

一、英特尔启用全新工艺节点命名,2024年量产20A制程

众所周知,在半导体制程工艺节点的命名上,通常是按照晶体管栅极长度来命名,数字越小越好。但是在多年前,不少厂商为了取得市场营销上的优势,就已经脱离了严格按照晶体管栅极长度来命名制程工艺节点的方式,所以目前即使在同样的节点的命名下,各家在实际性能上也有着非常大的差异。

目前,单纯从节点命名上来看,台积电和三星今年将量产第二代的5nm工艺,相对于英特尔来说,处于领先的地位。但是从具体的性能来看,台积电的5nm性能是领先于三星的,而英特尔公布的数据则显示,其即将推出的7nm工艺性能则与台积电5nm相当。

此前英特尔在推出10nm工艺之时,曾极力推动以晶体管密度来衡量制程工艺性能,但收效不佳。

或许是为了规避目前混乱的制程工艺节点命名方式给英特尔带来的不利的竞争影响,此次英特尔公布未来制程工艺路线图时,也对其工艺节点的命名方式进行了重构。

英特尔引入了基于关键技术参数——包括性能、功耗和面积等的新命名体系。从上一个节点到下一个节点命名的数字递减,反映了对这些关键参数改进的整体评估。

以下是英特尔制程技术路线图、实现每个节点的创新技术以及新节点命名的详细信息:

● Intel 7

英特尔10纳米SuperFin的命名保持不变,但是新一代的“10纳米Enhanced SuperFin”的制程节点将重新名为“Intel 7”。

据英特介绍,通过FinFET晶体管优化,“Intel 7”的每瓦性能将比英特尔10纳米SuperFin提升约10%~15%,优化方面包括更高应变性能、更低电阻的材料、新型高密度蚀刻技术、流线型结构,以及更高的金属堆栈实现布线优化。

英特尔于2021年推出的面向客户端的Alder Lake将会率先采用“Intel 7”工艺,后续预计将于2022年第一季度投产的面向数据中心的Sapphire Rapids也将会采用“Intel 7”工艺。

● Intel 4

此前被称之为Intel 7纳米工艺的节点将被重新命名为“Intel 4”。

据英特尔介绍,与Intel 7相比,Intel 4的每瓦性能提高了约20% ,同时它也将是首个完全采用EUV光刻技术的英特尔FinFET节点。此前台积电的7nm EUV工艺也只是极少部分环节采用了EUV工艺。

具体的量产时间上,英特尔表示,Intel 4将于2022年下半年投产,2023年出货,产品包括面向客户端的Meteor Lake和面向数据中心的Granite Rapids。

● Intel 3

Intel 3 将继续获益于FinFET,相比前代的Intel 4,Intel 3将在每瓦性能上实现约18%的提升。这是一个比通常的标准全节点改进水平更高的晶体管性能提升。

英特尔称,Intel 3实现了更高密度、更高性能的库;提高了内在驱动电流;通过减少通孔电阻,优化了互连金属堆栈;与Intel 4相比,Intel 3在更多工序中增加了更多的EUV的使用。

Intel 3将于2023年下半年开始生产相关产品。

虽然英特尔并未公布Intel 3所对应的英特尔自身此前制程节点,或者其他友商的制程节点,但是从英特尔的介绍来看,Intel 3应该相当于英特尔原来的5nm,而在具体每瓦功耗性能上可能相当于台积电的3nm工艺。这也是为何英特将其以Intel 3 命名的原因。

● Intel 20A

随着制程工艺越来越接近于原子水平的“1纳米”节点,工艺制程的优化和提升将会变的越来越困难,因此,英特尔将再度改变命名方式,将在Intel 3之后的下一个节点将被命名为Intel 20A(20埃米),以更好地反映更为细节上的创新。

而为了实现Intel 20A制程,英特尔将会引入全新的两项突破性技术PowerVia和RibbonFET。

所谓RibbonFET实际上就是英特尔研发的Gate All Around(GAA)晶体管。英特尔表示,RibbonFET可提供更快的晶体管开关速度,同时以更小的占用空间实现与多鳍结构相同的驱动电流。不过,英特尔并未介绍其GAA晶体管架构与台积电、三星的GAA的区别。

△以上为英特尔提供的演示动画,并不代表最终实际产品形态

根据之前的资料显示,台积电将会采用典型的GAA形式——GAAFET是(Gate-all-around FETs),即采用的是纳米线沟道设计,沟道整个外轮廓都被栅极完全包裹,代表栅极对沟道的控制性更好。相比之下,传统的FinFET 沟道仅3 面被栅极包围。GAAFET 架构的晶体管提供比FinFET 更好的静电特性,可满足某些栅极宽度的需求。这主要表现在同等尺寸结构下,GAA 的沟道控制能力强化,尺寸可以进一步微缩。

而三星三星认为采用纳米线沟道设计不仅复杂,且付出的成本可能也大于收益。因此,三星设计了一种全新的GAA形式——MBCFET(Multi-Bridge-Channel FET,多桥-通道场效应管),采用多层堆叠的纳米片来替代GAAFET中的纳米线。这种纳米片设计已被研究机构IMEC当作FinFET 架构后续产品进行大量研究,并由IBM 与三星和格罗方德合作发展。

而从英特尔公布的演示动画来看,英特尔可能采用了类似三星GAA的纳米片架构设计。

至于PowerVia,则是英特尔独有、业界首个背面电能传输网络。传统的互连技术是在晶体管层的顶部进行互联,由此产生的电源线和信号线的互混,导致了布线效率低下的问题,会影响性能和功耗。对此英特尔创新性的把电源线置于晶体管层的下面(即晶圆的背面),通过消除晶圆正面的电源布线需求,可以腾出更多的资源用于优化信号布线并减少时延,通过减少下垂和降低干扰,也有助于实现更好的电能传输,这使得英特尔可以根据产品需求对性能功耗或面积进行优化。

根据英特尔的预计,其Intel 20A制程将在2024年推出。此外,英特尔还透露将会在2025年推出18A制程。

英特尔高级副总裁兼技术开发总经理Ann Kelleher博士表示:“英特尔有着悠久的制程工艺基础性创新的历史,这些创新均驱动了行业的飞跃。我们引领了从90纳米应变硅向45纳米高K金属栅极的过渡,并在22纳米时率先引入FinFET。凭借RibbonFET和PowerVia两大开创性技术,Intel 20A将成为制程技术的另一个分水岭。”

△英特尔高级副总裁兼技术开发总经理Ann Kelleher博士

二、率先采用High-NA EUV工艺

极紫外(EUV)光刻技是采用高度复杂的透镜和反射镜光学系统,将13.5纳米波长的光对焦,从而在硅片上刻印极微小的图样。而目前ASML是全球唯一的EUV光刻机供应商。目前要实现7nm以下的先进制程,都必须要使用EUV光刻机。

从7nm工艺开始,部分工艺已经采用了NA(Numerical Aperture)=0.33的EUV光刻设备,并通过降低波长来实现5nm工艺,但对于2nm以后的超精细工艺,需要实现更高的分辨率光刻设备。

英特尔要想实现20A制程,则需要依赖于ASML的下一代高数值孔径(High-NA)的EUV光刻机。

ASML去年已经完成了High-NA EUV光刻设备NXE:5000系列的基本设计,计划于2022年左右商业化。

英特尔表示,其有望率先获得业界第一台High-NA EUV光刻机,同时也将是业界首家将High-NA EUV光刻机应用到量产环节的厂商。这也是英特尔在制程工艺上能够重回领先地位的关键。

三、2024年超越台积电

从公布的相关制程节点的量产时间来看,英特尔将自今年开始量产Intel 7 制程,此后每一年将会推出新一代的全新制程,这相比之前英特尔本就已经多次延宕的“Tick-Tock”节奏成倍提升。根据英特尔公布的信息,其将在2025年量产20A(20埃米,相当于2nm)制程。

如果英特尔20A制程能够如期量产的话,那么无疑将赶上台积电的节奏。按照台积电的规划,其2022年将会量产3nm制程,最快2024年量产2nm制程,而台积电的1nm尚未有相关信息。也就是说,英特尔将会藉由2024年的20A制程,从而实现对台积电的反超(按照晶体管密度来衡量,英特尔20A性能上可能相当于台积电的1nm制程),重新成为继续推动摩尔定律前进的领军企业。

“摩尔定律仍在持续生效。对于未来十年走向超越‘1纳米’节点的创新,英特尔有着一条清晰的路径。我想说,在穷尽元素周期表之前,摩尔定律都不会失效,英特尔将持续利用硅的神奇力量不断推进创新。”英特尔公司CEO帕特·基辛格非常有信心的说到。

四、先进封装技术再度升级

随着摩尔定律推进的速度的放缓,以及先进制程所能够带来的经济效益大幅减少(性能提升逐步减少,成本却持续大幅提升),先进封装技术已经成为了继续推进摩尔定律的经济效益的重要手段。

目前业界流行的多芯片先进封装架构,基本原则都是使用最优制程工艺制作不同IP模块,然后借助各种封装方式,在一个封装内实现多个芯片间以及与小芯片之间的高带宽、低时延的高速互联,构成一个异构计算平台,同时使得整个芯片封装体实现类似单芯片SoC的性能,但是成本却大幅低于单芯片SoC。

作为先进封装领域的领军企业,英特尔早在2017年实现了基于2.5D封装技术EMIB(嵌入式多芯片互连桥接)产品的出货。Sapphire Rapids是基于EMIB技术批量出货的首个英特尔至强数据中心产品。

英特尔表示,它也是业界首个提供几乎与单片设计相同性能的,但整合了两个光罩尺寸的器件。继Sapphire Rapids之后,下一代EMIB的凸点间距将从55微米缩短至45微米。

随后在2018年年底的英特尔架构日活动上,英特尔推出了业界首创的3D逻辑芯片封装技术——Foveros 3D,它可实现在逻辑芯片上堆叠不同制程的逻辑芯片。以前只能把逻辑芯片和存储芯片连在一起,因为中间的带宽和数据要求要低一些。而Foveros 3D则可以把不同制程的逻辑芯片堆叠在一起,实现晶圆级封装,裸片间的互联间隙只有50μm,同时可保证连接的带宽足够大、速度够快、功耗够低,而且3D的堆叠封装形式,还可以保持较小的面积。

据英特尔介绍,Meteor Lake是在客户端产品中实现Foveros技术的第二代部署。该产品具有36微米的凸点间距,不同晶片可基于多个制程节点,热设计功率范围为5-125W。

除了EMIB、Foveros 3D等封装技术之外,在2019年7月于美国旧金山举行的SEMICON West大会上,英特尔又公布旗下三项全新的先进芯片封装技术:Co-EMIB、ODI和MDIO。

Co-EMIB就是利用高密度的互连技术,将EMIB 2D封装和Foveros 3D封装技术结合在一起,实现高带宽、低功耗,以及相当有竞争力的I/O密度。

ODI(Omni-Directional Interconnect)就是全方位互连技术,可以为封装中小芯片之间的全方位互连通信提供更大的灵活性。

MDIO(Multi-Die IO),即多裸片输入输出,是AIB(高级互连总线)的进化版,为EMIB提供一个标准化的SiP PHY级接口,可互连多个小芯片。

在今天的线上会议上,英特尔又推出了全新的封装技术Foveros Omni和Foveros Direct。

据介绍,Foveros Omni开创了下一代Foveros技术,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,凸点密度翻了四倍,达到了1600 IO/mm²。

而Foveros Direct实现了向直接铜对铜键合的转变,它可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。Foveros Direct实现了10微米以下的凸点间距,使3D堆叠的互连密度提高了一个数量级,为功能性裸片分区提出了新的概念,这在以前是无法实现的。

英特尔表示,Foveros Omni预计将于2023年用到量产的产品中。Foveros Direct则是对Foveros Omni的补充,预计也将于2023年用到量产的产品中。

五、英特尔代工服务获得突破

在今年的3月的在主题为“英特尔发力:以工程技术创未来”的全球直播活动上,新上任的英特尔CEO基辛格公布了英特尔的IDM 2.0战略,宣布投资200亿美元在美国新建两座晶圆厂,并重启了英特尔的代工服务(IFS)。

而对于代工业务来说,最为关键的两大因素就是产能和技术。

在技术上,英特尔目前在先进封装技术领域处于业界领先地位,并拥有多项独有技术。但是在先进制程技术上,英特尔相比台积电处于落后地位。不过,根据英特尔今天最新公布的路线图来看,如果一切都能够按照既定的时间节点落实的话,那么英特尔将会在2024年在制程工艺上实现对台积电的反超。

英特尔在今天的会议上对外表示,英特尔的先进封装及先进制程工艺将会全面对外开放。也就是说,其他的芯片厂商都可以采用英特尔最先进的制程及封装技术,这无疑是具有很大吸引力的。

在今天的会议上,英特尔也宣布已经与亚马逊签约,亚马逊将成为首家采用英特尔代工服务的封装解决方案客户。

此外,在晶圆代工方面,英特尔宣布高通将会成为首批采用英特尔20A制程工艺的客户。也就是说,高通2024年底推出的旗舰芯片或将由英特尔的20A制程工艺代工。

前面提到,对于代工业务来说,产能也是极为关键的一环。在今年3月,英特尔宣布投资200亿美元在美国亚利桑那州新建两座晶圆厂之后,今年5月,英特尔还投资35亿美元对美国新墨西哥州的Rio Rancho工厂进行升级,斥资100亿美元在以色列兴建新的晶圆厂。近日,英特尔 还追加了对哥斯达黎加封测厂投资,金额由2020 年12月的3.5亿美元,提高超过70%到6 亿美元。

最新的消息还显示,英特尔计划投资200亿美元在多个欧盟成员国建造芯片工厂。目前英特尔公司正在游说,希望赢得欧盟对该项目的财政和政治支持。

在今天的会议上,英特尔CEO基辛格透露,将会在今年年底进一步公布在欧洲和美国的投资布局,“这是一笔足以支持大型晶圆厂的巨额投资”。

这一系列的投资无疑将极大提升英特尔在晶圆制造和先进封装方面的产能供应,这对于英特尔代工业务的后续发展非常关键。

不过需要指出的是,今天英特尔公布的突破性技术主要在英特尔俄勒冈州和亚利桑那州的工厂开发。

“英特尔正在针对制程和封装技术的未来进行创新,英特尔将按照既定节奏推出这些创新技术,英特尔将把我们出色的技术推至更广泛的行业领域。我们正以破竹之势前进,业界对于英特尔的回归反应热烈。可以说,英特尔的代工业务已经扬帆起航。”基辛格非常兴奋的说到。

编辑:芯智讯-浪客剑

财经自媒体联盟更多自媒体作者

新浪首页 语音播报 相关新闻 返回顶部