国产半导体设备的转折点

国产半导体设备的转折点
2022年08月04日 08:40 每日财报网

目前的半导体设备国产化率仍处于非线性增长区间,未来国产设备有望加速渗透。

文/每日财报 苏锋

2019 年-2021年,受到下游应用需求的驱动以及疫情对行业供需关系的影响,全球半导体设备市场经历了一轮高景气周期。

2022年,半导体设备市场规模有望再创新高,根据SEMI的数据,2022年,全球半导体设备销售额有望达1143.4亿美元,同比增长11.24%。

佩洛西窜台,令台海局势升温。半导体国产替代也再次被热议。

产能转移

中国大陆是全球最大的电子终端消费市场和半导体销售市场,吸引着全球半导体产业向大陆的迁移。从产业链配套层面来看,在中游晶圆制造环节,中国具备成为全球最大晶圆产能基地的潜力。特别是在中国打造制造强国的战略下,政府在产业政策、税收、人才培养等方面大力支持和推进本土半导体制造的规模化和高端化。

近年来,中美贸易摩擦凸显出供应链安全和自主可控的重要性和急迫性,晶圆制造及其配套设备等产业环节作为半导体产业的基石,加速发展势在必行。因此,在市场、国家战略、产业自主可控等多重因素的驱动下,中国大陆晶圆产能在未来一段时间内仍处于快速扩张期,且增速显著高于全球平均水平。

根据IC Insights的数据,2021年,全球晶圆产能约2160万片/月(8寸约当),同比增长3.78%,中国大陆晶圆产能350万片/月(8寸约当),同比增长9.92%,在全球的占比约16.2%。根据SIA的数据,伴随着中国大陆晶圆产能的持续快速扩张,2030年,大陆晶圆产能在全球的占比有望达24%,届时将成为全球最大的晶圆产能区域市场。

根据中国电子专用设备工 业协会的数据,2021年,国产半导体设备销售额为385.5亿元,同比增长58.71%, 占中国大陆半导体设备销售额的比例为20.02%。2022 年1-6月开标的548 台设备中,源自中国大陆厂家制造的设备共计189台,占比达34.5%。

内资晶圆产线仍然是国产半导体设备的消费主力,从远期内资晶圆产线的建设情况来看,国产半导体设备的需求前景更为乐观。根据各公司官网的不完全统计,目前,内资晶圆产线的总产能约为162.5万片/月(8寸约当),而各条产线的规划总产能约为454.5万片/月(8寸约当),现有产能距规划产能仍有较大的扩充空间,因此,内资晶圆产能的大幅扩张,有望为国产半导体设备公司带来广阔的订单增量。

以半导体晶圆制造设备为例,当前的国产设备对28nm及以上制程的工艺覆盖度 日趋完善,并积极推进14nm及以下制程的工艺突破,产品正处于验证密集通过、开 启规模化起量的成长阶段。各大半导体设备厂商基于产品上线量产的契机, 也在与客户密切开展工艺设备的合作研发、已有产品的迭代和细分新品类的扩充,利于产品竞争力和市场拓展的继续深入。

所以,目前的半导体设备国产化率仍处于非线性增长区间,未来国产设备有望加速渗透。假设2025年,该统计口径 下的中国大陆半导体设备市场的国产化率提升至50%,则2021-2025年,国产半导体设备销售额的CAGR近30%。

抓住核心环节

半导体工序催生出众多的半导体设备类型,从硅片制造、芯片设计、晶圆制造、封装和测试,配套的半导体设备品类多元,各个领域间具备较高的技术和市场壁垒。

从半导体设备市场的品类来看,晶圆制造设备占据了主要的市场份额,其次是 测试设备和封装设备。根据SEMI的数据,2022年,全球晶圆制造设备的市场规模有望达988.8亿美元,同比增长12.35%,测试设备市场规模有望达81.7亿美元,同比增长4.88%,封装设备市场规模有望达72.9亿美元,同比增长4.29%,三者在全球半导体设备市场的占比分别为86.48%、7.15%和6.38%。

在晶圆制造设备市场,沉积、刻蚀、光刻设备的市场规模稳居前三位。根据Gartner的数据,2022年,刻蚀、沉积、光刻设备的市场占比分别为 22%、20%和19%。

在具体公司层面,以北方华创、中微公司、盛美上海为代表的国产半导体设备公司不断完善产品的平台化布局,可服务市场规模快速扩张,远期收入空间不断打开。与此同时,以拓荆科技、华海清科、芯源微、万业企业等为代表国产半导体设备公司在各自专长的领域内已占据了领先的供应份额,不断夯实技术和市场壁垒。

北方华创为国内规模最大的半导体设备龙头,公司产品包括刻蚀机、PVD、ALD、LPCVD、氧化/扩散炉、单片退火设备、单片清洗机以及槽式清洗机等,覆盖刻蚀、薄膜、扩散、清洗四大工艺模块,其中刻蚀设备中以硅刻蚀和金属刻蚀机为主,并且在PVD领域拥有国内首台55-28nmTIN溅射机台,达到国内领先水平。刻蚀和薄膜设备的市场占半导体设备投资比重约50%,因此公司将充分受益于晶圆产线的密集建设潮。

拓荆科技是国内薄膜沉积设备龙头企业,专注 PECVD、SACVD、ALD设备领域。公司客户资源优质,与中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂长期深度合作;设备工艺覆盖面广,核心产品PECVD 设备已适配180-14nm逻辑芯片、19/17nmDRAM及64/128 层FLASH制造工艺需求,关键性能参数比肩国际龙头;同时,公司募投 10 亿用于扩大产能以及进一步加码先进制程 PECVD/SACVD/ALD 设备的研发,维持自身在先进制程技术的优势,有望引领薄膜沉积设备国产化持续崛起。

财经自媒体联盟更多自媒体作者

新浪首页 语音播报 相关新闻 返回顶部